library ieee; use ieee.std_logic_1164.all; entity dff8 is port ( din: in std_logic_vector(7 downto 0); clk: in std_logic; dout: out std_logic_vector(7 downto 0) ); end dff8; architecture a of dff8 is begin main:process(clk) begin if (clk'event and clk='1') then -- rising edge of clock dout <= din; end if; end process main; end a;