library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -- 8 Bit Unsigned Comparator entity cmp8 is port ( A,B : in std_logic_vector(7 downto 0); aeqb, altb, agtb : out std_logic ); end cmp8; architecture a of cmp8 is begin aeqb <= '1' when (a = b) else '0'; altb <= '1' when (a < b) else '0'; agtb <= '1' when (a > b) else '0'; end;