library ieee; use ieee.std_logic_1164.all; entity buf6 is port ( din: in std_logic_vector(5 downto 0); dout: out std_logic_vector(5 downto 0) ); end buf6; architecture a of buf6 is begin dout <= din; end a;