VHDL Compilation for EE 3714

This form will allow you to compile a VHDL file for a 22V10 PLD. If successful, you will be returned an HTML page that has links to a JEDEC file (.jed) and a REPORT file (.rpt file). You should remember the URL (web address) of the RETURNED page so that you can program your 22V10 PLD during lab. You must enter your SSN in the field below and browse to a file on your local file system that contains your VHDL code.

You MUST have produced the JEDEC file before you come to Lab.

If your VHDL file has syntax errors, the REPORT file will contain error messages from the parser that indicates the line numbers of the errors. I would suggest correcting only the FIRST error, and then trying again. Errors after the first error can be generated as a side effect of the first error, and may disappear after you correct the first error.

You MUST have produced the JEDEC file before you come to class.

Student ID number:

 

VHDL File:

 

 

 

 

Microsoft Internet Explorer Options

If you keep seeing the same report file or JEDEC file even when you change your VHDL file, then you might need to change your IE Explorer options. Under the 'View' menu choice at the top bar, select 'Internet Options'. In the "Internet Options" form, under "Temporary Internet Files", choose the "Settings" button. In the "Settings" form under "Check for newer versions of stored pages", make sure that "Every visit to the page" is selected. Once you have done this, this exit all of the forms by clicking on the "Ok" button at the bottom of each form.


Last modified: Mon Sep 20 16:09:32 CDT 1999