-- Model Name : Concurrent - Accumulator -- Author : Armita Peymandoust -- Last Updated : 09 / 15 / 1996 -- This document is © copyrighted by the Author.



LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
--

LIBRARY EXEMPLAR;
USE EXEMPLAR.exemplar_1164.ALL;
--

LIBRARY WORK;
USE WORK.synthesis_utilities.ALL;
USE WORK.synthesis_parameters.ALL;
USE WORK.global_environment.ALL;
USE WORK.PackCon.ALL;
--

ENTITY accumulator_unit IS
  PORT (load, zero : IN std_logic);
END accumulator_unit;
--

ARCHITECTURE Concurrent OF accumulator_unit IS
BEGIN
  PROCESS (load, zero)
  BEGIN
                                              -- first free 
    DeAlloc(ac_out);      
    test;
    IF zero'EVENT THEN  
      Equate(zero_8, ac_out); 
    ELSE
                                            -- allocate for the new data
      Equate(obus, ac_out);                                    
    END IF;
  END PROCESS;
END Concurrent;