library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

-- 8 Bit Unsigned Comparator
entity cmp8 is
  port (  
	  A,B   : in std_logic_vector(7 downto 0);
	  aeqb, altb, agtb  : out std_logic
   );
end cmp8;

architecture a of cmp8 is
        
begin

  aeqb <= '1' when (a = b) else '0';
  altb <= '1' when (a < b) else '0';
  agtb <= '1' when (a > b) else '0';

end;

<div align="center"><br /><script type="text/javascript"><!--
google_ad_client = "pub-7293844627074885";
//468x60, Created at 07. 11. 25
google_ad_slot = "8619794253";
google_ad_width = 468;
google_ad_height = 60;
//--></script>
<script type="text/javascript" src="http://pagead2.googlesyndication.com/pagead/show_ads.js">
</script><br />&nbsp;</div>